yunusst memberikan inspirasi kepada anda

Tutorial

Friday 10 December 2010

Kemenangan Timnas Disambut Facebooker

Bogor, (tvOne)

Tim nasional Indonesia berhasil memetik nilai penuh setelah mengalahkan Thailand 2-1 dan kemenangan itu disambut antusias facebooker Indonesia, Selasa malam.

"Perjuangan yang cukup melelahkan akhirnya membuahkan hasil, tim nasional Indonesia berhasil mengalahkan Thailand 1-2 lewat tendangan pinalti dan kami menyambut gembira," tulis mereka di facebook.

Berbagai dukungan disampaikan masyarakat Indonesia, tidak hanya melalui nonton langsung pertandingan di Gelora Bung Karno, Selasa malam, tapi juga melalui jejaringan sosial Facebook.

Para facebooker (panggilan para pengguna facebook-red) mengupload status bermacam-macam yang berisikan dukungan serta kegembiraan atas kemenangan Bambang Pamungkas cs melawan pemain dari negeri Gajah putih tersebut.

Dukungan berupa status sudah ditulis sebelum pertandingan, seperti yang ditulis di dinding Budy S dari Riau "Ayo dukung Indonesia "Merah Putih" vs Thailand malam ini, ayo tebak berapa skor," katanya.

"Merdeka Indonesia," kata salah satu Facebooker dari Bogor, saat Indonesia berhasil mengalahkan Kirakit cs lewat tendangan pinalti.

Ada pula yang menuliskan "Alhamdulillah doa masyarakat Indonesia terjawab sudah Laskar Merah putih menang dan poin sempurna," begitu kata Wulan Bogor dalam statusnya.

Beberapa facebooker juga mengagumi kehebatan para pemain timnas saat mempertahankan gawang dan menbobolkan lawan lawan seperti yang ditulias Silmi H F "Keren euyh tendangan pinaltinya," kata facebooker dari Riau ini.

Dukungan datang hampir dari seluruh wilayah Indonesia, seperti yang ditulis Hari H dari NTB "Goallll, 2-0" meski skor sebenarnya adalah 2-1.

Kemenangan timnas Indonesia memang membanggakan masyarakat yang sangat mencintai bola, ucapan selamat datang dari seorang Facebooker asal Malaysia yang menulis komentar di salah satu facebooker asal Indonesia, "Selamat berjaya," kata Hj Syed A.

Tidak hanya dukungan dan ucapan selamat, permainan timnas juga mendapat sorotan dan saran yang mengharapkan timnas lebih baik lagi dalam bertanding.

Saran tersebut datang dari Rudi K dari Riau yang mengatakan, "Alfred Redl, jangan pernah buat tim garuda cara main aman seperti tadi, Indonesia harus main terbuka, karakter petarung," katanya di dinding facebooknya.

Dukungan berupa ucapan lewat status yang ditulis para Facebooker Indonesia ini menjadi doa masyarakat Indonesia yang sangat mencintai tim nasionalnya. Tercatat jumlah Facebooker di Indonesia merupakan nomor dua terbanyak di dunia yakni 15.301.280 user.


Nonton bareng

Selain dukungan melalui jaringan sosial, dukungan langsung juga diberikan masyarakat Bogor. Beberapa warga di Jalan Menteng, Kecamatan Bogor Barat menggelar nonton bareng dengan para sanak keluarganya di rumah mereka masing-masing.

"Menit-menit menengangkan membuahkan teriakan para pencinta bola tersebut. Permainan Indonesia makin membaik, semoga ini awal kebangkitan sepakbola kita," kata Eman warga Gang Menteng.

Pertandingan timnas melawan Thailand sangat menegangkan karena kemenangan atas Thailand merupakan balas dendam Indonesia yang tiga kali kalah dalam liga AFF terdahulu.

Begitu pula dengan Thailand, pertandingan melawan tim Markus Horison cs merupakan hidup dan mati tim Gajah Putih tersebut.

Meski sempat kebobolan di babak kedua, dan dibombardir pada awal pertandingan, kelincahan pemain Thailand mampu dibendung timnas Indonesia.

Timnas bangkit dengan semangat dan doa masyarakat Indonesia. Perjuangan Laskar Merah Putih membuahkan dua penalti yang tidak mampu dibendung kiper Thailand.

Dengan kemenangan itu timnas menjadi juara grup A pada liga AFF, dan akan maju ke babak semifinal bertemu Singapura, Filipina dan Malaysia yang berada pada peringkat kedua karena berhasil melumat Laos 5-1 pada pertandingan malam ini.

Pertandingan sebelumnya, timnas Indonesia berhasil menekuk lawan-lawannya, 5-1 melawan Malaysia dan 6-0 melawan Laos. keunggulan itu membawa timnas Indonesia maju ke babak semifinal AFF. (Ant)
Share:

Semi Final Putaran Pertama di Stadion Gelora Bung Karno

Jumat, 10/12/2010 - 07:49

JAKARTA, (PRLM).- Federasi Sepak Bola ASEAN (AFF) akhirnya memutuskan Stadion Utama Gelora Bung Karno (SUGBK), Senayan, Jakarta, menggantikan Manila sebagai tempat pertandingan putaran pertama semi final Suzuki AFF Cup 2010 antara Indonesia melawan Filipina.

Keputusan pemindahan venue putaran pertama ini dikeluarkan AFF melalui situs resminya Kamis (9/12). AFF mengkonfimasi pemindahan venue tersebut sekaligus mempublikasi waktu pertandingannya untuk semua laga "knock out".

Semifinal pertama akan dibuka pada 15 Desember, dengan mempertemukan Malaysia melawan juara grup B Vietnam di Bukit Jalil Stadium, Kuala Lumpur pada pukul 20.00 waktu setempat dan semifinal kedua antara Filipina melawan Indonesia akan dimulai pukul 19.00 WIB di SUGBK.

Kemudian putaran kedua semifinal pertama akan digelar di My Dinh Stadium, Hanoi dengan Vietnam sebagai tuan rumah, sedangkan putaran kedua Indonesia vs Filipina tetap dipertandingkan di SUGBK pada jam yang sama.

Perubahan tempat ini sendiri sebenarnya diajukan oleh Filipina yang menolak untuk bermain di stadionnya sendiri Rizal Memorial Stadium yang dianggapnya tidak memenuhi standar untuk menggelar pertandingan Internasional. AFF sebenarnya sudah menawarkan dua opsi kepada Filipina.

Pertama, bermain di negara netral dengan syarat disetujui Indonesia sebagai calon lawan. Lalu opsi kedua yakni memainkan kedua laga, baik kandang maupun tandang, di Indonesia. "Filipina sudah menghubungi AFF lewat Sekjen Federasi Sepak Bola Filipina (PFF) pada saat babak kualifikasi lalu (5/12), untuk memilih Indonesia sebagai 'homeground' mereka jika lolos ke "empat besar"," ungkap Sekjen PSSI Nugraha Besoes.

Kepastian itu pun sudah dikonfirmasi oleh AFF langsung ke PSSI mempertanyakan kesiapan Indonesia sebagai tuan rumah. Setelah konsultasi dengan Ketua Umum dan EXCO, kata Nugraha, PSSI akhirnya menerima pinangan AFF tersebut. "Surat konfirmasi pemindahan venue serta penetapan stadion utama sebagai tempat pertandingan semifinal kedua secara resmi sudah kami terima dari AFF hari ini (kemarin-Red.)," ucapnya.

Menurut Nugraha, PSSI akan menanggung penuh seluruh pembiayaan anggota timnas Filipina selama mereka berada di Jakarta, termasuk penerbangan pulang-pergi, serta akomodasi untuk seluruh rombongan. Mengenai berapa besar biaya yang harus dikeluarkan oleh PSSI, Nugraha Besoes mengaku, pihak LOC masih menghitung. "Yang jelas, rombongan Filipina ini, baik pemain, ofisial mau pun anggota deputaranasi lainnya, akan ditanggung penuh sejak 14 Desember hingga maksimal 20 Desember," imbuhnya.

Sebagai kompensasinya, kata Nugraha, seluruh hasil penjualan tiket dari pertandingan semifinal putaran pertama itu sepenuhnya menjadi hak PSSI. "Seluruh hasil penjualan tiket menjadi milik kita dan tidak ada kewajiban untuk membayarkan fee match kepada Filipina," ucapnya menegaskan.

Pada babak semifinal nanti, Indonesia terlebih dahulu akan melakoni laga tandang pada 16 Desember, baru kemudian pada 19 Desember akan menjamu Filipina.

Mengenai masalah kesiapan lapangan yang dibeberapa bagian mengalami kerusakan parah. Direktur Pembangunan dan Pengembangan Usaha Pusat Pengelolaan Kompleks GBK, Mahfudin Nigara menuturkan, sejauh ini kerusakannya memang parah. Namun pihaknya mengaku siap akan melakukan pembenahan dalam seminggu kedepan.

"Rumput sisi lapangan utara yang botak akan kami ganti dengan rumput cadangan mulai besok (hari ini -Red.). Rumput itu akan mengakar dalam tiga hari, meski belum kuat namun sudah bisa digunakan minggu depan. Idealnya memang seharusnya setelah penanaman, tidak digunakan selama dua pekan, namun karena ini kasusnya krusial maka tidak masalah, satu minggu juga sudah jadi," ungkap Nigara. (A-161/A-26)***
Share:

Thursday 9 December 2010

Indonesia Koleksi 80 Gol ke Gawang Filipina

VIVAnews - Indonesia superior ketimbang Filipina di arena sepakbola. Persaingan antara kedua kubu sudah seru sejak tahun 50an.

Sejak era itu, sudah 17 kali kedua negara bertemu. Indonesia 16 kali menang. Satu pertandingan lainnya berakhir imbang.

Terakhir kali kedua tim bertemu di tahun 2002. Pada pertandingan di Piala Tiger itu, Sugiantoro dan kawan-kawan berpesta dengan kemenangan 13-1. Saat itu pertandingan digelar di Stadion Utama Senayan. Bambang Pamungkas mencetak empat gol di pertandingan ini.

Total jendral, Indonesia sudah melesakkan 80 gol ke jala Filipina dalam 17 pertemuan. Filipina sendiri punya koleksi 8 gol. Filipina memang lebih dikenal dominan di cabang basket, tinju, baseball dan softball.

Di Piala Presiden 1972, Indonesia juga menang telak atas Filipina. 12-0 Merah Putih menang. Di akhir turnamen, Indonesia menjadi juara kedua setelah kalah 1-3 dari Birma.

Satu-satunya hasil imbang antara kedua negara terjadi di SEA Games 1977 di Kuala lumpur. Skor akhir 1-1.

Kedua tim akan berjumpa lagi di semifinal Piala AFF 2010. Indonesia yang punya rekor 100 persen di Grup A tak pelak menjadi favorit dibanding Filipina yang menjadi runner up Grup B dengan satu kemenangan dan dua imbang. Namun dalam sepakbola, segala kemungkinan bisa terjadi.(Wild Stat)

Rekor head to head:

2002 Piala Tiger
Indonesia 13-1 Filipina

2000 Piala Tiger
Indonesia 3-0 Filipina

1998 Piala Tiger
indonesia 3-0 Filipina

1997 SEA Games
Indonesia 2-0 Filipina

1993 SEA Games
Indonesia 3-1 Filipina

1991 SEA Games
Filipina 1-2 Indonesia

1989 SEA Games
Indonesia 5-1 Filipina

1984 Piala Asia (kualifikasi)
Indonesia 1-0 Filipina

1981 SEA Games
Filipina 0-2 Indonesia

1980 Olimpiade (kualifikasi)
Indonesia 4-0 Filipina

1977 SEA Games
Indonesia 1-1 Filipina

1972 Piala Presiden
Indonesia 12-0 Filipina

1971 Merdeka Tournament
Filipina 1-3 Indonesia

1967 Piala Asia (kualifikasi)
Indonesia 6-0 Filipina

1962 Merdeka Tournament
Filipina 0-9 Indonesia

1962 Asian Games
Indonesia 6-0 Filipina

1958 Asian Games
Indonesia 5-2 Filipina



• VIVAnews
Share:

Wednesday 8 December 2010

Setelah Sekian Lama, Sekarang Saatnya

Liputan6.com, Bogor: Hampir semua warga pelosok di Tanah Air tengah membicarakan tim nasional Indonesia yang sukses meraih angka sempurna dalam Piala AFF 2010. Timnas Merah Putih berhasil menjaringkan 13 gol dan hanya kemasukan dua gol. Indonesia kokoh sebagai juara grup dan akan bertemu runner up Grup B di babak semifinal.

Semangat Indonesia makin berlipat setelah sukses menghentikan langkah Thailand dalam ajang ini. Kemenangan atas tim Thailand diharapkan memberikan dorongan moral bagi Firman Utina dan kawan-kawan untuk menjuarai Piala AFF 2010. Mengingat negeri Gajah Putih itu kerap menjadi batu sandungan Indonesia dalam berbagai kejuaraan.

Doa serta harapan agar Irfan Bachdim Cs menjadi juara salah satunya diberikan oleh warga Bogor, Jawa Barat. "Saya berharap kebangkitan persepakbolaan Indonesia dengan menjuarai Piala AFF, setelah sekian lama kita puasa gelar," ujar Nurdin, warga Desa Bojong. Menurut Nurdin, sudah saatnya timnas Indonesia mengukir prestasi.

Lebih jauh Nurdin mengatakan, para penggila bola di Tanah Air sangat haus gelar. "Sudah terlalu lama timnas tak memenangkan kejuaraan apa pun. Saya berharap dalam Piala AFF tahun ini Indonesia keluar sebagai juara," ujarnya semangat. Dengan demikian sepakbola Indonesia disegani baik di wilayah Asia Tenggara atau bahkan Asia.

Harapan sama diutarakan Dedi Wahyudi, warga Desa Laladon, Kecamatan Ciomas, Bogor. "Saya berharap Indonesia dapat menjuarai Piala AFF Suzuki 2010," ujarnya. Dedi mengungkapkan, tim asuhan Afred Riedl perlu mempertahankan performa fantastis hingga partai puncak untuk keluar sebagai juara dalam turnamen negara se-ASEAN itu.

Fahrul, warga Sukaraja, Bogor menambahkan, Indonesia punya peluang besar menjadi juara. Menurutnya, kekompakan tim, daya juang, kemampuan pemain, dan kecerdasan pelatih dalam meramu strategi adalah modal besar yang dimiliki. Pun demikian dengan Gunaryo, mahasiswa Institut Pertanian Bogor. Dia meyakini dengan konsistensi permainan dan dukungan penuh penonton, timnas akan menjadi yang terbaik.(ANT/JUM)
Share:

Monday 6 December 2010

Irfan Bachdim, Idola Baru Timnas Indonesia

Pada laga melawan Laos, Sabtu malam (4/12), satu nama yang paling ditunggu penampilannya adalah Irfan Bachdim. Pemain muda yang memiliki dua kewarganegaraan itu menjadi andalan lini depan skuad Alfred Riedl.
Share:

Timnas Tetap Janjikan Kemenangan Lawan Thailand

Senin, 6 Desember 2010
JAKARTA (Suara Karya): Indonesia sudah memastikan lolos ke babak semifinal Piala AFF dengan status juara grup. Untuk laga terakhir menghadapi Thailand, Afred Riedl tetap menjanjikan kemenangan buat suporter Indonesia.

Indonesia meraih hasil 100% di dua pertandingan babak fase grup Piala AFF 2010. Perfoma meyakinkan dipertunjukkan Firman Utina cs yang total melesakkan 11 gol dan cuma kemasukan sekali hasil kemenangan 5-1 atas Malaysia dan 6-0 dengan Laos.

Satu pertandingan terakhir Indonesia adalah menantang Thailand pada Selasa (7/12). Buat Indonesia laga tersebut sudah tak lagi menentukan, namun untuk Tim Gajah Putih itu merupakan laga hidup-mati yang bisa menentukan kelanjutan kiprah mereka di turnamen ini.

Untuk laga penuh gengsi tersebut, Afred Riedl memberi indikasi kalau dia akan mencoba beberapa pemain yang berbeda untuk beberapa posisi. Hal tersebut dilakukan untuk menghindari kelelahan dan kemungkinan cedera pemain.

"Di laga berikut kami bisa membuat satu atau dua pergantian. Tapi kami akan melihat dua hari ke depan. Melihat siapa yang cedera atau butuh istirahat," sahut Riedl usai pertandingan.

Pelatih asal Austria itu juga memastikan kalau Indonesia akan tetap tampil habis-habisan dalam laga tersebut. Meski sudah memberi dua kemenangan telak, eks pelatih Laos itu menjanjikan kegembiraan lain buat fans Indonesia. "Kami harus tampil bagus melawan Thailand kami ingin membuat publik senang. Kami ingin menghibur masyarakat," kata Riedl.

Sementara itu, Kapten tim nasional Indonesia Firman Utina mengakui, meski dua pertandingan yang telah dilalui berhasil menciptakan kemenangan besar atas Malaysia dan Laos, namun permainan timnas masih belum sempurna.

"Menurut saya permainan timnas secara umum masih belum sempurna. Masih ada beberapa hal yang harus diperbaiki dan hal itu akan kami diskusikan bersama dengan pelatih," ujar Firman Utina.

Firman sendiri yang berperan sebagai kapten tim berhasil melesakkan dua gol ke gawang Laos dari kemenangan 6-0 tak ingin dipuji secara berlebihan. Menurutnya, hasil-hasil yang dicapai oleh timnas merupakan jerih payah seluruh pemain.

"Meski menang besar tapi masih ada kesalahan-kesalahan yang dilakukan oleh tim, dan hal itu harus kami benahi," ujarnya seusai Indonesia berhadapan Laos pada Sabtu malam.

"Kerja sama tim merupakan kunci utama. Pelatih Alfred Riedl sendiri banyak memberikan instruksi secara detail bagaimana cara kita bertahan ketika diserang lawan sehingga tidak memberikan kesempatan kepada lawan membuat gol," tutur Firman.

Instruksi pelatih ini tampak berhasil diterapkan para pemain. Manakala pemain lawan menguasai bola, dua sampai tiga pemain Indonesia langsung mengepung dan "mengeroyok" pemain lawan. Dan ketika lawan mendapat bola mati (tendangan bebas) di luar kotak penalti, seluruh pemain ikut turun memperkuat pertahanan. (Syamsudin W)
Share:

TV Online



widget by : yunusst
Share:

Translate

Arquivo do blog

Total Pageviews

Facebook